英特尔2.0

日期:2022-10-21发布:www.qubaike.com

英特尔2.0

本文系基于公开资料撰写,仅作为信息交流之用,不构成任何投资建议。

大家都知道目前世界上集成电路制造能力最强的公司有三家台积电,三星,英特尔。

这三家中,台积电业务量最大,三星则虎视眈眈的紧盯台积电,作为“御三家”的英特尔因为过去几年的挤牙膏,14nm的+号多到自己都数不清,10nm一直难产,然后突然又跳过10nm改命名规则,直接上“intel 7”,一步到“胃”。一顿胡里花哨的操作后被外界质疑:“英特尔你他娘的到底行不行啊,还有多少老本可以吃?”

作为此前世界半导体领域的霸主,这几年由于各种原因,业务收入增长不如同行,最引以为傲的芯片制造技术水平的也逐渐被台积电和三星追上甚至反超。痛定思痛之后,英特尔请来老将基辛格,并推出 IDM2.0计划 ,此举是否还有翻盘机会?今天来聊聊,芯片制造“”御三家”以及英特尔2.0计划的机会,挑战和雄心。

01

东亚怪物房里的残酷内卷

今年6月三星,抢先发布了量产3nm工艺,并且宣称到2025年前还要量产2nm工艺,最近更是宣称2027年要量产1.4nm工艺。

近日,三星主管晶圆代工业务的社长崔时荣表示,将基于下一代晶体管结构全环绕栅极 ( GAA ) 技术不断创新工艺,计划 2025 年实现 2nm 芯片生产,2027 年实现 1.4nm 工艺。

不过三星没有公布1.4nm工艺的细节,晶体管的密度,性能,功耗等具体参数不得而知。

面对三星的高调,台积电也不甘落后,表示3nm将在今年年底前量产,同时积极准备2nm,预期也是在2025年。

三星进入半导体行业要从69年代末开始说起,以前三星成立于1938年一开始只是做做低端贸易的小商会,但是三星创始人李秉哲深深感觉到,国小地贫的韩国,想要活下去,必须有几样高科技高附加值的产业,于是在电子信息时代来临的后,敏锐的意识到了芯片会成为下一个风口。于是在上世纪60年代末,斥资进入电子领域成立三星电子,然后三星电子开始与NEC深度合作,从简单的真空管和黑白电视机做起。

70年代,三星抓住机会开展与摩托罗拉的合作,投入重金建立半导体生产线,并为摩托罗拉提供5微米CMOS芯片代工。到80年代,三星随后在美国支持下开始进军高端数字电路,特别是在存储行业和日本同行疯狂内卷,攻城略地大杀四方。前后在DRAM内存、NAND闪存等领域把日本公司卷死的卷死,卷残的卷残。2012年日系存储仅存的尔必达没能挺过去,最后以25亿美金的白菜价卖给美光,辉煌一时的日系存储连最后的独苗都没有留下。

日本东芝存储也因为东芝集团在核电站资产西屋上面的瞎鸡儿操作踩了大坑,最终被迫将东芝存储卖身美国贝恩资本,改名铠侠。

最终三星成为DRAM和NAND领域最大公司。

2018年,三星的晶圆代工LSI业务羽翼丰满,拆分出来后开始独立运营。一年后,三星的代工业务收入超过此前的格罗方德,成为世界第二。随后三星将台积电视为追赶对象,台积电则把三星视为最大的威胁,双方在晶圆制造领域投入重金,互相竞争,甚至台积电花大价钱把EUV光刻机产能定光,誓死不让三星超越。

从目前实际结果来看,在先进工艺领域台积电依然一家独大,但是三星的市场份额也不小,台积电囊获了英伟达,AMD,苹果,赛灵思等客户的青睐,三星也紧抱高通大腿,甚至英伟达部分GPU芯片也使用了三星的工艺,分了部分蛋糕。

但是总体而言,尽管三星技术水平并不差,但是整体良率效率,和台积电比始终差一点,7nm就是做不过台积电。同时后续5nm工艺,三星工艺水平更不行,台积电再次占得先机,于是三星果断放弃,提前布局3nm并拿出GAA技术炫技并叫板,意思是:“老子一时半刻搞不过你台积电,咱们3nm见!”

不愧是东亚怪物房,两家公司竞争激烈,极度内卷。按照历史规律,老大老二干架,往往倒霉的是老三,老四,这些年格罗方德,联电等,基本放弃10nm以下先进制程的研发,专注做好成熟制程市场。

对于格罗方德糟糕的现状,一向急性子的范大将军有话要说了。

范大将军:你说格罗方德一届一届换了多少个CEO了?改过不啦?换汤不换药啊。人家汤姆.考菲尔德也有理由说的,我曾经带的什么人啊?IBM啊,你这批什么人,你叫我带?格罗方德现在什么水平,就这么几个人,连个CTO都没有,能做先进制程吗?做不了,没这个能力知道吗?再下去要输中芯国际了,中芯国际输完输华虹,再输高塔,接下来没人输了。

从另外一个方面来讲,格罗方德也是在FD-SOI硅制程备战最早的FAB厂……

欧呦,谢天谢天,你像14nm一下先进制程本身就没有打好基础,你能更为保证,未来在chiplet时代的关键技术节点上,它,它能赢啊?务实一点,我劝格罗方德,把自己这个制程和良率,这个代工理念先搞懂,桑杰.贾带的蛮好的,你去把他换了干什么你告诉我?现在排名都快输到第五了,你倒告诉我怎么解释?脸,脸都不要了!

从目前情况来看,格罗方德排名真的可能要掉到瓷砖厂后面了,什么有补贴? 格罗方德想要雄起,这点补贴是远远不够的。

虽然英特尔花言巧语也骗来200亿没刀的补贴,准备在美国再盖2个厂。但是由于竞争对手AMD Zen构架以及和台积电的合作非常,用Zen2更加灵活的Chiplet方案把英特尔的牙膏一脚踩爆,这些年AMD也打出一个漂亮的翻身仗,在CPU领域步步蚕食英特尔的市场份额。

由于英特尔CPU在高算力领域有点搞不过英伟达的GPU,毕竟这些数据中心,算力中心,GPU特点更占优,买英伟达的GPU多了,买英特尔CPU就少了,所以两头夹击把英特尔搞的很难受。

怎么办?由于就有了英特尔的2.0计划。

02

英特尔的2.0时代

竞争对手来势汹汹逼迫英特尔不得不改变,2021年新CEO帕特.基辛格上任。

基辛格以前是英特尔老将,2000年的时候担任过CTO,2009年离开英特尔出去EMC和VMware两家公司云游了一番。2021年1月,又回到英特尔成为第8任CEO。

上任初期,新官上任三把火,基辛格随后抛出英特尔翻身计划:英特尔IDM2.0战略。

在基辛格的规划中,进一步明确产品设计、制造工艺、代工等业务战略及长期演进路线图。公司依托IDM2.0策略,将业务线划分为新兴业务(IFS、AGX、Mobileye)和传统业务(DCAI、CCG、NEX等)。

包括对IDM商业模式的进一步优化和迭代,具体包括:加大对先进制程工艺的投资,加大晶圆厂的建设投资,以期延续摩尔定律;对于自家产品,不仅以自己晶圆厂生产,同时也可以对外寻求外部代工;对外开放自家代工能力,不再局限于设计、制造、封装测试等环节的一手包办。

经过调整后,英特尔2.0包含三个方面:

1、内部完成大部分产品的生产:

在演讲中,基辛格重申英特尔将在内部生产大部分产品。他认为这是英特尔的关键竞争优势之一,有助于产品优化,提升英特尔的营收和供应能力。

2、扩大对第三方制造能力的使用:

为优化英特尔在成本、性能、进度、供应等方面的路线图,英特尔预计将扩展与其现有第三方代工厂的关系。除了为英特尔生产通信和连接、图形和芯片组等产品外。未来第三方代工厂或将代工一系列基于英特尔先进工艺的产品,包括英特尔计划从2023年起提供的客户端和数据中心产品。

3、将为美欧客户提供晶圆代工及封装业务:

英特尔宣布,未来计划主要为美国、欧洲客户进行芯片代工及封装服务,以满足全球对半导体生产的巨大需求。为了实现这一愿景,英特尔正在建立一个新

的独立业务部门“英特尔制造服务部(IFS,Intel Foundry Services )”。IFS将为客户提供晶圆代工及封装服务,提供x86、Arm、RISC-V等多种IP组合。

随后英特尔进行一系列操作比如收购以色列高塔,同时出售存储业务以及退出傲腾业务,成立CXL联盟以及UCIe联盟等。

随着美国总统拜登于8月9日签署《芯片与科学法案》,让英特尔有了更多的子弹。针对2.0战略,进行美国本土晶圆制造新产能扩充布局,以期待让英特尔基辛格团队重振英特尔晶圆制造能力。

03

英特尔要为AMD代工???

专注IDM多年的英特尔,现在从基辛格时代开始,英特尔又一次想做代工。

十年前英特尔曾经为FPGA巨头之一的阿尔特拉做过一段时间代工,但是合作很不愉快,不仅效率底下,还面临和自家CPU抢产能的问题,尽管后面英特尔斥巨资收购阿尔特拉,但是FPGA芯片的制造依然给了台积电,属于白忙活了。

在2.0战略时代,英特尔并且不仅是要做单纯的晶圆代工,而是想搞系统级代工,关于系统级代工,这个和近年来兴起的Chiplet有很大关系。

从技术上来讲,在摩尔定律高速发展几十年后,在当下已经是百尺杆头了,想要再进一步异常困难,花费巨大,导致单个晶体管成不降反升,晶体管密度、功耗、尺寸、工艺难度之间的平衡面临更多的挑战。然而新兴应用对高性能大算力以及异构集成的芯片需求有增无减,因此驱动着业界探寻新的解决之道。

于是业界认为“后摩尔时代”来临,以后要靠Chiplet来给摩尔定律的“续命”。

Chiplet简单理解包含了三层概念:异构架,小芯粒以及系统集成。

异构架:短期内解决不同芯片之间的结合问题,如CPU和FPGA,以及DRAM内存之间的不同芯片的如何协同工作的问题,远期要解决甚至不同材料之间,比如GaN光电器件和硅器件的整合。

小芯粒:是把原生SoC大核各个功能区的IP进行重排,拆分成一个个小芯粒重新组合,从面对不同市场出发,不同客户的诉求出发,在成本, 性能和特定功能之间找设计和制造的平衡点。

系统集成:主要是从系统考虑找到最佳解决方案,其中软集成是指打通底层软件和系统,硬集成是利用先进封装技术把他们整合一起。

因此Chiplet是从整体系统效率出发,兼顾成本和工艺制造的一种新的解决思路。

在当下特别是在未来制程微缩受限的情况下,但是还要保持芯片性能的持续跃迁,以及越来越高的系统要求,Chiplet的将会是突破摩尔定律的解法,因此这就是“后摩尔时代”,Chiplet扛大旗的说法来源。

英特尔宣称,现有工艺在单块芯片上集成的晶体管极限大概为1000亿个,而通过系统级代工,未来万亿级晶体管芯片则可行,背后就是这个解决思路。

洒家一直看好这种Chiplet,系统级代工是未来晶圆代工的必然趋势和发展方向,英特尔的解决思路是对的,相当于将不同任务整合为客户提供一个Turnkey Solution,此举目标契合基辛格的2.0战略的计划,可为英特尔未来的发展打下基础。

对于野心勃勃的英特尔而来讲,确实也有能力来把这事做成了。

从现有手中的资源来看,英特尔拥有完整的x86构架的IP,这是它的底蕴,而且,英特尔又掌控了PCIe技术联盟标准的制定,而PCIe基础上发展起来的CXL联盟和UCle标准也是由英特尔主导,相当于英特尔既掌握了核心X86 IP,又掌握了非常关键的高速SerDes技术和标准。

有了高速SerDes的接口以及x86CPU构架,英特尔可利用它们更好地推出使用围绕CPU做Chiplet的定制化组合,更好更快的推出新的高性能,高算力的芯片。而且,英特尔的先进工艺,和先进混合封装技术的能力并不弱,是有希望通过商业模式创新,并打造出一个全新的英特尔2.0时代,继续保持其强大的江湖地位,毕竟戈登.摩尔大佬现在还活好好的,不能让老爷子看到英特尔一步步衰败对吧。

但是英特尔饼画很大,甚至号称要给AMD做代工,洒家觉得也就是说说而已,苏妈会同意有鬼了,现在CPU设计和代工业务不拆分,能给AMD做代工也是天方夜谭,真正的客户应该是谷歌、亚马逊这种。

谷歌、亚马逊这种互联网巨头,这些年由于布局算力中心,数据中心,云存储中心,投入并不少,并且也开始自研各种芯片,如Ai芯片,算力芯片,加速计算芯片诸如此类的东西。

洒家认为英特尔和他们是有双赢合作的可能性。

从商业逻辑上来讲,英特尔放开x86 CPU构架给亚马逊,让亚马逊围绕自己的CPU内核做定制化改进,增减各种功能模块,并且利用PCIe高速接口互联把亚马逊自研芯片的IP部分整合进来,同时英特尔又有代工能力和系统级整合能力,可以提供一站式服务。

比如wafer上切割下小芯粒后,可以利用英特尔的混合封装能力,把各个不同的小芯粒以及高性能内存颗粒直接封装到一起,再通过改进信号线路和供电线路的PowerVia技术,变相增加互联密度以及控制功耗,最终得到一个基于英特尔CPU为基础,亚马逊特制高阶定制版的HPC高性能芯片,用于他们自己的服务器和数据计算中心。

是不是看起来比给AMD代工靠谱一点?应该说算是一个比较完美的商业方案,这样做的好处有三条:

第一是英特尔通过授权X86构架的CPU IP和PCIe技术,有利于保持英特尔CPU领域的市场份额,联合亚马逊自研芯片体系,最快搞出产品,顶住英伟达的蚕食。

第二、有利于UCle标准的推广,因为UCIe技术在自己手里,英特尔可以通过UCIe相关控制虚拟内存资源,你们不是恨我英特尔的CPU垄断内存资源很久么,现在开放给你们,但是必须通过我UCle来搞,这么一来,UCle标准也推出去了。

第三、英特尔提供完整平台来解决流片、封装的问题,提供一站式服务,形成最终英特尔深入参与的亚马逊版本Chiplet方案芯片。

前后可以多赚好几道钱,还把自己主导的IP和标准推向了市场。

你从这个角度看,就会觉得基辛格的2.0战略还有点意思,至少逻辑上行的通,至于实际上怎么做,让我们拭目以待。

04

代工很难做,英特尔还需要补课

晶圆制造代工看上去是一个很高大上的东西,但是实际上说实话,也不是一个很好的活,算是一个细活,苦活,累活,杂活。

做代工并不是来活就能干,首先需要提供平台开发工具来让IC设计客户做验证,仿真之类的活,其次还要树立“客户至上”的服务理念。

开发平台的软件和工具以英特尔的能力,只要认真做没有太大难度,但是代工文化,不是一朝一夕就能学会的。

代工和封装不是说技术强大就万事大吉的事情,从IDM转型代工,对于英特尔来说,最大挑战仍是代工文化,要学会与客户沟通,学会低身倾听客户诉求,要从客户角度出发为客户提供所需服务,最终满足客户差异化的需求,本质上这是细活,苦活,累活,杂活。

英特尔这种气质,与生俱来都是高傲的,走路都他娘的是鼻孔朝天的,想要来低声下气来给别人服务,怎么看怎么别扭。

英特尔以前一直是IDM模式来制造CPU以及NAND,wafer良率的高低都在自己内部消化了,但是做代工要是良率不高,谁他TM会来流片?

所以英特尔以前也曾尝试过代工,但结果也不尽人意,希望现在借助后摩尔时代的风口,以及系统级代工能助力其实现IDM2.0的宏愿。

希望收购以色列高塔后好好学学代工文化,该加班加班,被客户骂几句也给我憋着。

05

先进封装不是一蹴而就

晶圆制造难,同样以系统级先进封装也不简单。

从Chiplet的涵义来看,晶圆生产出来之后要实现不同芯粒之间的硬件整合,如何整合?谈何容易。

以台积电为例,台积电在先进封装方面也摸索多年,最早给苹果,AMD做方案就花费数年时间,当了好多年学徒,最终到现在在技术和成本方面颇有心得。

多年之后积累,台积电便推出了如CoWoS、SoIC等多个封装技术平台方案,随后把CoWoS,SoIC的整合到一起,搞了一个新的封装品牌叫"3D Fabric",但是这更像是直接凑在一起的大礼包,实际案例更多的依然是一对一定制化封装服务,并不是传言中为客户提供“芯片像搭积木一样”高效的封装方案。

但是,台积电毕竟是台积电,在UCIe联盟成立后,台积电迅速响应,抓住这个机会参与了UCle联盟的组建,并想方设法将自己的封装标准和UCIe标准打通,以期持续延续台积电的后摩尔时代的辉煌。

同样对于英特尔而言,尽管英特尔的混合封装技术不亚于也不同于台积电,算是一种颇具特色的2.5D混合封装。

英特尔并不是不使用一整块interposer,只在局部采用一个小型的bridge die的这种2.5D技术就是EMIB,以及TSMC的LSI技术。EMIB成本更低,也不会受光罩大小限制。最早在Kaby Lakes上用过,英特尔用它链接了KBL-G的Polaris GPU和HBM显存。

后面的Foveros也算是一种3D封装,但是和台积电的3D封装也不尽相同。

不过毕竟英特尔是UCle联盟的创立者,在UCle封装技术环节上,英特尔前段时间在UCIe的技术标准上发布了长长一文,洒家稍微看了一下,直接头大两厘米,十分头疼,等看懂了,想明白了, 再给各位看官解释。

06

结语

英特尔搞2.0计划,不得不说是英特尔的一次大胆尝试,干成了,再保20年江湖地位,搞不成就继续吃老本,反正牙膏厂的名头背了不是一两天了。

总之英特尔不管是拉起UCle大旗搞互联标准,搞封装标准,还是拉上谷歌,亚马逊搞开发X86 CPU IP 搞定制化高性能算力芯片,还是搞代工,搞系统级封装集成,都有不小的挑战。

不可否认的是英特尔工艺能力,集成能力,软件能力依然是顶尖的,但是想要做更大的生意,还需要更多的转变。

希望英特尔的2.0时代,不要来的太晚。( 作者:陈启 启哥有何妙计 )

【相关文章】

三星4nm为何比台积电4nm差很多?技术大佬解读:三星愿意夸大一点

继国行后,AMD Zen 4 处理器在欧美也迎来大降价

高通、台积电等苹果供应商增加在美生产设施数量

GeForce RTX 4070 Ti或延续RTX 4080 12GB定价,仅仅只是换个名字?

台积电刘德音最新演讲要点:人才管理、地缘政治、纳米竞争

台积电回应“外派工程师赴美掏空人才”

传苹果积极准备2nm芯片 最早2025年量产

美股周四:三大股指继续全线下跌,英伟达跌超5%,特斯拉跌逾4%

新能源汽车呼唤“中国芯”

伯克希尔Q3持仓:新建仓台积电 增持西方石油、雪佛龙

THE END

本文地址:https://www.qubaike.com/hotnews/sudeb2jt.html

声明:本文信息为网友自行发布旨在分享与大家阅读学习,文中的观点和立场与本站无关,如对文中内容有异议请联系处理。